SymbiFlow, vers la synthèse libre pour la Série 7 de Xilinx

Posté par  (site web personnel, Mastodon) . Édité par Davy Defaud, palm123, ZeroHeure, Pierre Jarillon, Benoît Sibaud et claudex. Modéré par Pierre Jarillon. Licence CC By‑SA.
Étiquettes :
30
2
jan.
2018
Matériel

N. D. M : Le Verilog HDL est un « langage de description matériel de circuits logiques en électronique », le FPGA est un « circuit intégré logique qui peut être reprogrammé », Xilinx une entreprise américaine de semi‐conducteurs, et le bitstream est utilisé pour décrire une configuration à charger dans un FPGA.

Comme le projet IceStorm qui permet de générer des bitstreams à partir du Verilog vers les FPGA ICE40 de Lattice est maintenant très avancé, W.Clifford se lance avec d’autres dans la rétro‐ingénierie des FPGA de la Série 7 de Xilinx.

Pour cela, un nouveau projet nommé SymbiFlow est créé pour fédérer les différents outils permettant de développer autour des FPGA de Xilinx. L’objectif à terme étant d’intégrer également les ICE40 à SymbiFlow.

Le projet inclut un sous‐projet nommé sobrement Project X-Ray permettant de documenter les différents éléments du FPGA Artix7 sous forme de carte en ASCII et HTML. Ce sous‐projet vise également à fournir des outils permettant de piloter Vivado avec des designs simplistes pour sortir des statistiques sur les bitstreams générés et approfondir la documentation.

Un des gros changements de SymbiFlow par rapport à Icestorm est la volonté de migrer le placement‐routage de Arachne-pnr vers VPR. Un sous‐projet de VTR développé depuis bien plus longtemps que Arachne-pnr.

Vu le succès remporté par le projet IceStorm, avec la quasi totalité des FPGA ICE40 documentés ainsi que leurs timings, on peut espérer voir arriver rapidement une chaîne de développement libre pour les FPGA de la Série 7 de Xilinx. Et voir ainsi le développement open source sur FPGA devenir une réalité.

Aller plus loin

  • # Ais-je bien compris?

    Posté par  . Évalué à 4.

    Si j'ai bien compris, SymbiFlow a pour but de réunir les différents projets liés au FPGA et entre autres permettre au projet d'être multi-constructeur (Xilinx et Lattice). L'idée est partie j'imagine de la volonté d'ajouter le support de Xilinx.

    Je trouve l'article intéressant mais j'ai l'impression qu'il a voulu être un peu trop concis ou qu'il a été retouché de manière un peu rapide.

    • [^] # Re: Ais-je bien compris?

      Posté par  . Évalué à 6.

      SymbiFlow a pour but de réunir les différents projets liés au FPGA et entre autres permettre au projet d'être multi-constructeur (Xilinx et Lattice).

      Je ne pense pas que cette suite d'outil puisse permettre aux projets d'être multi-constructeur mais plutôt qu'au lieu de télécharger IceStorm pour les FPGA Lattice et SpartanStorm (nom au pif) pour les FPGA Xilinx il suffira de télécharger SymbiFlow qui pourra aussi bien transformer le code VHDL ou Verilog en bitstream et programme le FGPA qu'il soit Lattice ou Xilinx.

      En tout cas ce monsieur est assez balaise ! C'est une excellente chose que d'avoir des outils libres pour programmer les FPGA !

  • # On n'a plus 20 ans.

    Posté par  . Évalué à 2.

    Zut, je suis 20 ans trop vieux. J'aurais peut-être même pu aider à l'époque, mais j'ai énormément perdu depuis. Tant pis.

Suivre le flux des commentaires

Note : les commentaires appartiennent à celles et ceux qui les ont postés. Nous n’en sommes pas responsables.