Journal FireAnt : Un kit FPGA à moins de $30 avec un nouveau venu dans le domaine du FPGA

Posté par  (site web personnel, Mastodon) . Licence CC By‑SA.
19
5
août
2019

Cher journal,

Juste un petit mot pour te faire la pub (honte !) d'un nouveau FPGA nommé Trion T8 de chez Efinix.
Ce nouveau venu dans le monde du FPGA (ce qui est suffisamment rare pour le faire remarquer) propose des petits FPGA abordables pour les bidouilleurs. Et l'IDE fourni (qui n'est hélas toujours pas libre) est assez rafraîchissante et facile d'installation.

Pour se faire la main avec le produit, une société a lancé un financement participatif pour construire (…)

Un ASIC conçu intégralement avec des logiciels libres

Posté par  (site web personnel, Mastodon) . Édité par palm123, ZeroHeure, Davy Defaud, Pierre Jarillon, Benoît Sibaud et Jona. Modéré par Pierre Jarillon. Licence CC By‑SA.
Étiquettes :
74
16
juin
2019
Matériel

Un ASIC (application‐specific integrated circuit, en anglais) est un circuit intégré d’électronique numérique conçu pour une application spécifique et qui ne peut être modifié une fois produit. Un ASIC est à mettre en opposition avec le FPGA qui lui est un circuit intégré d’électronique numérique que l’on peut reconfigurer à l’infini.

Les FPGA sont très liés aux ASIC. En effet, la plupart des outils utilisés en FPGA pour la synthèse HDL, la preuve formelle, le placement routage ou l’analyse des timings sont les mêmes que ceux à destination des ASIC. Seules les bibliothèques et les configurations changent. La grosse différence (de taille) avec les FPGA c’est que l’ASIC n’est pas reconfigurable, et les « frais d’initialisation » sont très élevés. Les délais de production sont également très longs (on parle en trimestres voire en semestres de délais).

Avec de telles contraintes, on comprend pourquoi les développeurs ne se mouillent pas trop avec des logiciels exotiques et restent sur ceux qu’ils connaissent. Vu les tarifs de production, le coût des licences des logiciels est assez négligeable. Pourquoi « grenouiller » avec des outils libres dans ce cas ?

Toutes ces contraintes n’ont pas découragé Tim Edwards de se lancer intégralement avec des outils libres dans la conception et la fabrication d’un microcontrôleur.

Sortie de la version 1.1 de Cocotb

Posté par  (site web personnel, Mastodon) . Édité par Benoît Sibaud, ZeroHeure, Pierre Jarillon, Davy Defaud et palm123. Modéré par bubar🦥. Licence CC By‑SA.
Étiquettes :
29
26
jan.
2019
Matériel

Voici une excellente nouvelle pour la conception des composants électroniques numériques : la version 1.1 de Cocotb est sortie, la nouvelle vient de tomber sur la liste de diffusion de Cocotb.

C’est une grande nouvelle pour cette bibliothèque Python de co‐simulation HDL, sous licence BSD modifiée. En effet, malgré le travail de cinquante contributeurs, elle était bloquée dans sa version 1.0 depuis plus de quatre ans maintenant. La nouvelle organisation qui vient d’être mise en place devrait garantir la pérennité de Cocotb.

Journal 2019, l’année de la libération des FPGA ?

Posté par  (site web personnel, Mastodon) . Licence CC By‑SA.
Étiquettes :
55
16
jan.
2019

En matière de liberté le monde du FPGA est resté dans les années 90. Une époque obscure où l’on cachait le mode de fonctionnement des logiciels, où il fallait signer des accords de non divulgation (NDA) avant de pouvoir simplement utiliser un logiciel. Une époque où l’on croyait encore que la sécurité par l’obfuscation était le summum de l’état de l’art pour sécuriser et protéger son logiciel et ses données. Mais il est possible que les nouvelles de sorties de (…)

Sortie de Yosys Open Synthesis Suite 0.8

Posté par  (site web personnel, Mastodon) . Édité par ZeroHeure, Davy Defaud, palm123, bubar🦥 et BAud. Modéré par ZeroHeure. Licence CC By‑SA.
Étiquettes :
37
24
oct.
2018
Matériel

L’annonce a été faite mardi 16 octobre par W. Clifford : la version 0.8 de Yosis, un logiciel libre de synthèse Verilog est sortie.

Dans le processus de développement FPGA/ASIC la synthèse est l’étape de conversion du modèle matériel simulé en « netlist RTL », d’où l’on peut dériver le circuit réel.

Journal Risc-V est prêt pour le desktop™ !

Posté par  (site web personnel, Mastodon) . Licence CC By‑SA.
46
28
sept.
2018

Cher journal,

Savais tu que le set d'instructions libre Risc-V promu par ARM est désormais desktop ready™ ?

En effet, c'est WesternDigital qui vient de publier un tutoriel pour compiler la meilleur suite de logiciels connue pour le desktop : Fedora Gnome !
Le tuto cible un processeur U540 (4 cœurs 64bits U54 et 1 cœur E51) produit par SiFive. Si tu es pauvre tu pourras faire tourner cet OS desktop-ready avec Qemu.

Mais si tu es riche tu pourras (…)

Journal Intégration de TapTempo-Chisel sur APF27

Posté par  (site web personnel, Mastodon) . Licence CC By‑SA.
Étiquettes :
23
23
mai
2018

Dans un premier journal je décrivais le «core» de TapTempoChisel. Passons maintenant à l'intégration sur un vrai FPGA physique.

J'ai choisi pour cela une carte APF27 de chez armadeus. Cette carte possède un FPGA Spartan3A de chez Xilinx mais elle possède également un microprocesseur i.MX27 permettant de faire tourner Linux. La philosophie de la carte étant d'avoir une zone «en friche» avec le FPGA permettant d'ajouter des périphériques sur mesure.

Périphérique que nous allons construire avec le projet TapTempo. Le (…)

SymbiFlow, vers la synthèse libre pour la Série 7 de Xilinx

Posté par  (site web personnel, Mastodon) . Édité par Davy Defaud, palm123, ZeroHeure, Pierre Jarillon, Benoît Sibaud et claudex. Modéré par Pierre Jarillon. Licence CC By‑SA.
Étiquettes :
30
2
jan.
2018
Matériel

N. D. M : Le Verilog HDL est un « langage de description matériel de circuits logiques en électronique », le FPGA est un « circuit intégré logique qui peut être reprogrammé », Xilinx une entreprise américaine de semi‐conducteurs, et le bitstream est utilisé pour décrire une configuration à charger dans un FPGA.

Comme le projet IceStorm qui permet de générer des bitstreams à partir du Verilog vers les FPGA ICE40 de Lattice est maintenant très avancé, W.Clifford se lance avec d’autres dans la rétro‐ingénierie des FPGA de la Série 7 de Xilinx.

Pour cela, un nouveau projet nommé SymbiFlow est créé pour fédérer les différents outils permettant de développer autour des FPGA de Xilinx. L’objectif à terme étant d’intégrer également les ICE40 à SymbiFlow.

Le projet inclut un sous‐projet nommé sobrement Project X-Ray permettant de documenter les différents éléments du FPGA Artix7 sous forme de carte en ASCII et HTML. Ce sous‐projet vise également à fournir des outils permettant de piloter Vivado avec des designs simplistes pour sortir des statistiques sur les bitstreams générés et approfondir la documentation.

Un des gros changements de SymbiFlow par rapport à Icestorm est la volonté de migrer le placement‐routage de Arachne-pnr vers VPR. Un sous‐projet de VTR développé depuis bien plus longtemps que Arachne-pnr.

Vu le succès remporté par le projet IceStorm, avec la quasi totalité des FPGA ICE40 documentés ainsi que leurs timings, on peut espérer voir arriver rapidement une chaîne de développement libre pour les FPGA de la Série 7 de Xilinx. Et voir ainsi le développement open source sur FPGA devenir une réalité.

Journal HiFive1: Un Arduino à 320Mhz entièrement libre pour 2017

Posté par  (site web personnel, Mastodon) . Licence CC By‑SA.
31
29
nov.
2016

À l'heure où j'écris ces lignes a lieu le 5 ème workshop sur jeux d'instruction libre (ISA Risc-V au campus google à Mountain View.

Et c'est à cette occasion qu'est présenté la carte HiFive1 composée d'un microcontrôleur Freedom Everywhere 310 (FE310) à cœur SiFive (utilisant le jeux d'instructions Risc-V). Une carte compatible Arduino mais 10 fois plus puissante et entièrement libre, jusqu'au silicium.

La description hardware en Chisel/Verilog est disponible sur github et il est possible de simuler intégralement le (…)

Icestudio 0.2, du schéma au verilog

27
24
juil.
2016
Matériel

IceStudio est un logiciel graphique permettant de concevoir un design FPGA à la manière d’un schéma électronique. Le logiciel est encore largement expérimental et centré sur les FPGA ice40 de chez lattice. Écrit en JavaScript autour de Nodejs, le logiciel permet de dessiner son projet au moyen de blocs reliés entre eux par des signaux.

IceStudio est sous licence GPLv2.

NdM : à noter, ce projet bénéficie d'un soutien du fabricant espagnol BQ (connu également pour fournir des matériels avec Ubuntu pré-installée)

Forum général.général Utiliser un FPGA pour accélérer les compilations ?

Posté par  . Licence CC By‑SA.
Étiquettes :
5
8
juil.
2015

Bonjour à tous

J'ai lu avec grand intérêt cet article qui annonçait il y a peu de temps la disponibilité d'une chaîne de développement complètement open source pour un FPGA : https://linuxfr.org/users/martoni/journaux/enfin-une-chaine-de-developpement-completement-open-source-pour-un-fpga

Depuis, l'idée me trotte dans la tête de me mettre à la programmation d'un FPGA, avant tout pour le fun. Une première application que j'y verrais serait de programmer un FPGA dans le but d'accélérer les compilations avec GCC, car c'est un traitement hautement parallélisable (et très utile (…)

Journal Enfin une chaîne de développement complètement open source pour un FPGA

49
30
mai
2015

Développer sur FPGA est très frustrant pour un libriste. Les fondeurs de FPGA ne fournissant pas les spécifications de leurs composants, il n’existait pas jusqu’à présent d'outils open sources pour générer les binaires de configuration appelés «bitstream».

Du coup c'est toute la chaîne de développement qui est compromise : pas d'outils de synthèse HDL, pas d'outils de placement routage, …

Il existe bien des projets universitaires comme VPR, RapidSmith ou ABC. Mais ces outils ne permettent de réaliser (…)

Journal Le VHDL prend-il l'eau ?

Posté par  (site web personnel, Mastodon) . Licence CC By‑SA.
28
12
nov.
2014

Le VHDL est un langage de simulation numérique. C'est initialement une commande de l'armée américaine pour standardiser les spécifications de circuits numériques. Le VHDL a été standardisé à coup de pelle à neige par l'IEEE en se basant sur le langage ADA.

Du langage de simulation, le VHDL est passé au langage de description hardware avec l'arrivée des FPGA/ASIC. Les constructeurs de FPGA fournissant des outils de conversion VHDL->netlist pour leurs propres composants. Chacun y allant de son interprétation du (…)

Sortie de la version 5.3 du BSP du Projet Armadeus

Posté par  (site web personnel) . Édité par claudex et Nÿco. Modéré par tuiu pol. Licence CC By‑SA.
22
8
jan.
2014
Matériel

La version 5.3 du BSP, le logiciel de support de la carte mère, du Projet Armadeus a vu le jour le 25 décembre 2013. Pour rappel, le projet Armadeus a pour objectif de faciliter le développement de systèmes embarqués à base de Logiciels Libres. Il est basé sur la combinaison logicielle suivante : U-Boot, Linux et Buildroot / Busybox / µClibc (glibc et eglibc sont aussi pris en charge).

Forum général.cherche-matériel Question : implémentation archi 3D dans un FPGA

Posté par  (site web personnel) . Licence CC By‑SA.
Étiquettes :
2
19
sept.
2013

Bonjour,

Je cherche depuis quelque temps et je ne trouve pas.
Comme tout le monde du libre râle (à raison) car il n'existe pas de carte 3D libre au sens architectural et à fortiori niveau drivers (même si pour ces derniers les effort d'ouverture d'ATI changent un peu la donne).
Personne sur cette planète n'a pensé à concevoir une implémentation d'un calculateur 3D sur un FPGA et mettre les informations disponibles ?

Merci d'avance.