GHDL, un front-end VHDL pour Gcc

Posté par  (site web personnel) . Modéré par Benoît Sibaud.
Étiquettes :
0
30
nov.
2002
Matériel
C'est l'histoire d'un mec qui voulait apprendre l'Ada et le VHDL. Comme il s'ennuyait un peu, il a écrit un simulateur VHDL en Ada qui est un front-end à Gcc.

Il s'agit encore d'une pré-version mais la version 93 du langage semble complètement supportée. Il reste encore à stabiliser le code.

C'est un premier outil d'importance dans le monde de l'Open Hardware.

Aller plus loin

  • # Re: GHDL, un front-end VHDL pour Gcc

    Posté par  . Évalué à 3.

    ça n'aurait peut-être pas été plus mal de participer au développement de GNU Electric ( http://www.gnu.org/software/electric/electric.html(...) ) non ?

    mais bon je ne vais pas cracher dessus parce que pour le développement VHDL, on dirait que c'est le proprio qui règne, surtout pour les outils de simulation.

    sinon "supportée" et "premier outil".
    • [^] # Il y a la chaîne de CAO Alliance

      Posté par  . Évalué à 6.

      http://www-asim.lip6.fr/alliance/(...)

      C'est assez complet, mais aussi assez obscur et difficile d'accès. Intégration pas excellente, mais ça a le mérite d'exister et de marcher pas mal du tout.
      • [^] # Re: Il y a la chaîne de CAO Alliance

        Posté par  . Évalué à 1.

        obscur et difficile d'accès

        Je confirme !!!
      • [^] # Re: Il y a la chaîne de CAO Alliance

        Posté par  (site web personnel) . Évalué à 4.

        il me semble aussi que cela n'est pas trop utilisable, non ?

        Un simulateur VHDL qui ne supporte pas les process, c'est comment dire ? L'équivalent du C sans stdio.h ?

        De plus, je crois que la maintenance est de moins en moins faite et que la documentation est assez pauvre. De plus, certains modules semblent avoir changer de licence et ne sont plus du logiciel libre.

        "La première sécurité est la liberté"

        • [^] # Re: Il y a la chaîne de CAO Alliance

          Posté par  . Évalué à 2.

          Un simulateur VHDL qui ne supporte pas les process, c'est comment dire ? L'équivalent du C sans stdio.h ?

          Un vrai codeur en C n'utilise pas les fonctions de stdio.h, tous les auti^Wépitéens te le confirmeront.
        • [^] # Re: Il y a la chaîne de CAO Alliance

          Posté par  (site web personnel) . Évalué à 1.

          je dirais carrément : C sans les pointeurs, la récursivité, les boucles et les entrées-sorties. J'en sais qqc.

          =============> important <=============

          ben pour connaitre la petite histoire, Tristan (l'auteur de GHDL) m'a confié qu'il était passé lui aussi à ASIME et qu'il avait été déçu, alors il s'est mis à faire GHDL. Donc c'est pas seulement parce qu'il n'avait que ça à faire ....

          Ce mec a fait en peu de temps ce que des cohortes d'étudiants démotivés ont passé des années à rater.

          Moi, je suis soufflé.

          Bon d'accord, GHDL n'est pas encore super génial, j'arrive même pas à l'installer, ça vient de GNAT et de plein d'autres trucs. Mais une fois que des paquets Debian et RPM seront en circulation, ça va barder pour le cul de FreeHDL ;-)

          Doit encore y avoir Savant qui peut s'en sortir, en particulier car il a déjà des .deb (donc qui fonctionnent, théoriquement), et Savant supporte les simulations en cluster (c'est plus ou moins ce qu'ils disent). Niveau lourdeur, GHDL a GNAT/ADA dans la soute, ce qui est aussi lourd que Savant et son infrastructure.

          Tristan a essayé de compiler F-CPU et apparemment, ça bute sur les librairies communes (elles testent les lectures et écritures en mode binaire, ce qui n'est pas du VHDL standard, ce qui est la raison pour laquelle c'est testé, je sais c'est con mais c'est pourtant tellement pratique...).

          et hop !

          YG
      • [^] # Experience perso

        Posté par  (site web personnel) . Évalué à 5.

        Je dois realiser un projet qui consiste à écrire du code VHDL; on m'a proposé Xilinx ( proprio ), vu mon esprit LL, j'ai tente à peu près tous les logiciels qui traitent de VHDL. J'avais sous la main un bout de code VHDL dont j'étais sure de la syntaxe (vérifié par Xilinx). Je voulais juste trouver un n'importe quel projet LL qui puisse m'aider à développer sous Linux, pour ne pas être obliger d'écrire mpn code sous W? .
        J'ai passé plus de 18h sur alliance, et encore 24h sur d'autres projets ... après une semaine de recherches, seul "Free-HDL" a été capable de me dire : "oui ton bout de code est bien écrit en VHDL, et je comprend ce qu'il veut dire". Même alliance, j'ai pas su comment lui donner en argument le nom de mon fichier source ...

        Oui je sais c'est ma vie ... mais bon ... je fais part de mon expérience ... mais pour écrire du VHDL et construire le netlist , j'ai vraiment rien trouvé ! Est-ce du au manque de doc ? mon incompétance ? un mauvais usage du Google ? ou tout simplement l'absence totale de projet suffisement avancé dans le monde du LL ?

        Vous noterez la petite différence qui existe entre "devoire écrire du VHDL", et "obtenire un code VHDL à partir d'un editeur de schematics" ...

        --- DHP A+
        • [^] # Re: Experience perso

          Posté par  (site web personnel) . Évalué à 4.

          http://www.symphonyeda.com/(...) distribue une version linux gratuitement de son compilo qui fonctionne.

          Si j'ai mis que ghdl était un outils d'importances, c'est bien parce que c'est le seul. Electric semble faire plein de trucs à la fois. Je ne connaissais pas. A voir.

          "La première sécurité est la liberté"

          • [^] # Re: Experience perso

            Posté par  (site web personnel) . Évalué à 1.

            La dernière fois que j'ai regardé, Electric ne comprenait que le VHDL qu'il avait
            lui-même généré ===> aucun intérêt.

            J'espère qu'Electric pourra peut-être un jour s'intégrer avec un truc plus sérieux ?
        • [^] # Re: Experience perso

          Posté par  (site web personnel) . Évalué à 1.

          J'ai eu le meme probleme l'an dernier.. Ben j'ai du me forcé a compilé avec ModelSim si mes souvenirs sont bon (mais je tapais quand meme avec emacs qui a un mode plutot agreable pour le VHDL). J'ai été surpris de voir un mode VHDL si interessant avec rien comme soft derriere..enfin bon....
          • [^] # Re: Experience perso

            Posté par  . Évalué à 1.

            Effectivement, Emacs possède un mode plus qu'agréable pour le VHDL : je l'utilise tous les jours au boulot. Par contre, dès qu'il s'agit de simuler un design, ou pire, de le synthétiser (ie, transformer un langage de haut niveau en une description de porte type ET, OU, bascules, etc..), c'est fini, il n'existe plus rien en logiciel libre qui soit efficace.
            Enfin, quelque part, cela se comprend : VHDL est un langage extrêmement difficile à compiler.
    • [^] # Re: GHDL, un front-end VHDL pour Gcc

      Posté par  (site web personnel) . Évalué à 1.

      Le programme que tu site n'a rien à voir avec un simulateur vhdl. Il s'agit d'un placeur/routeur capable de générer des sorties vhdl (entre autre).

      "La première sécurité est la liberté"

      • [^] # Re: GHDL, un front-end VHDL pour Gcc

        Posté par  . Évalué à 1.

        je cite la page de GNU electric :

        "The VHDL system can generate VHDL from a layout, and can compile VHDL to netlists of various format"

        "Electric has a built-in 12-state switch-level simulator, called ALS. It also supports a built-in version of the IRSIM simulator. These simulators display waveforms in a separate window and let users cross-probe from either the waveform or the circuit window."

        DTC ;)
        • [^] # Re: GHDL, un front-end VHDL pour Gcc

          Posté par  (site web personnel) . Évalué à 1.

          Tu pourrais rester polie...

          Sinon, si on regarde leur doc il s'agit plutot d'un frame work très vieux (86 ?) qui intègre plein de techno de l'époque. Le simulateur cité n'est qu'un simulateur gate level qui ne doit comprendre que ce que le reste de l'appli doit générer.

          Le plus part des screenshot montrent des écrans avec des layout de circuit, c'est normal à l'époque cela se faisait commme cela.

          Etant un framework il peut faire dialoguer plusieurs simuleur (il parle de Lisp, TCL, C...) entre eux. Ghdl pourrait faire partie de cela.

          En tout cas, c'est à voir.

          "La première sécurité est la liberté"

  • # Pour les gens qui se demandent ce qu'est le VHDL

    Posté par  . Évalué à 6.

    Pour toutes les personnes qui n'ont pas eu le sujet en maternelle et qui se demandent de quoi la news parle:

    VHDL est l'acronyme de "Very High Speed Integrated Circuit Hardware Description Language", soit la contraction de VHSIC et de HDL.
    VHDL est un langage de description de haut niveau pour aider à la conception rapide de circuit électronique.

    VHLD semble avoir été unifier avec Open Verilog International pour créer Accellera http://www.accellera.org/.(...)

    Un lien intéressant: http://lmi17.cnam.fr/~anceau/Documents/tvhdl.pdf(...)

Suivre le flux des commentaires

Note : les commentaires appartiennent à celles et ceux qui les ont postés. Nous n’en sommes pas responsables.